Welcome![Sign In][Sign Up]
Location:
Search - game vhdl

Search list

[VHDL-FPGA-Verilogpptest

Description: 用VHDL编写的基于FPGA的乒乓球游戏,可以在de2实验板上直接运行-Prepared using VHDL-based FPGA' s table tennis game, you can run directly on de2 board experiment
Platform: | Size: 674816 | Author: dingxing | Hits:

[VHDL-FPGA-Verilogtron

Description: Tron game, a video game developed by VHDL.
Platform: | Size: 1165312 | Author: wanghao | Hits:

[Game Programpong_1

Description: verilog pong game done in vhdl using graphics the game is very simple and starter can use it.
Platform: | Size: 1024 | Author: hulla | Hits:

[Windows Developblessing

Description: VHDL编程乒乓球游戏含有仿真部分及波形-VHDL language of table tennis game
Platform: | Size: 585728 | Author: 张宗艳 | Hits:

[VHDL-FPGA-Verilogspacewar_final

Description: 一款用VHDL编写的飞机大战游戏很好很实用-a game by VHDL
Platform: | Size: 3074048 | Author: Donghf | Hits:

[VHDL-FPGA-VerilogBALLGAME

Description: 一个小球游戏的VHDL设计 有需求的可以下载-A VHDL design of the ball game
Platform: | Size: 74752 | Author: 李雷 | Hits:

[VHDL-FPGA-VerilogVHDL_TipsTricks

Description: Pong game development and implementation in VHDL
Platform: | Size: 527360 | Author: Senthil | Hits:

[VHDL-FPGA-Verilogct

Description: 用vhdl做的一个简单的太空大战游戏,在hdle实验板上可以运行,在16*16点阵可以显示飞行器移动,障碍物下落效果-Vhdl to do with a simple space war game, the board can run in the hdle experiment, in 16* 16 dot matrix to display the vehicle move, obstacles fall effect
Platform: | Size: 2040832 | Author: 张春涛 | Hits:

[VHDL-FPGA-Verilog61EDA_B365

Description: 乒乓球游戏电路设计 VHDL eda技术 课程设计-VHDL eda table tennis game circuit design course design
Platform: | Size: 315392 | Author: 王安 | Hits:

[VHDL-FPGA-Verilogpingpong

Description: 用VHDL写的一个乒乓球游戏机的源程序。-Use VHDL to write a table tennis game of the source.
Platform: | Size: 934912 | Author: wyx006 | Hits:

[VHDL-FPGA-Verilogpinpong

Description: 这是一篇关于用VHDL语言实现乒乓球游戏机的论文,可应用于实际的乒乓球游戏比赛中。-This is an article on table tennis game with the VHDL language papers, a table tennis game can be applied to the actual game.
Platform: | Size: 157696 | Author: 李健 | Hits:

[VHDL-FPGA-Verilogsnake

Description: 用VHDL语言编写的贪吃蛇小游戏。利用有限状态机原理,对不同情况判断得到下一步操作参数。程序下载能够运行-VHDL language with the Snake game. Finite state machine theory, judging by the different operating parameters for the next step. Download to run
Platform: | Size: 1078272 | Author: river | Hits:

[VHDL-FPGA-VerilogISE_lab19

Description: 俄罗斯方块VHDL实现,。该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。-Tetris VHDL implementation. The design consists of the following modules: Keyboard input module, the game control module, image display module, the text display module, the storage unit, multiplexing unit and the VGA control module. One image shows the modules and module reuse VGA text display control module. Game control module, image display module and the text display module to exchange data through the storage unit.
Platform: | Size: 3850240 | Author: 雷旦 | Hits:

[VHDL-FPGA-Verilog21POINT.tar

Description: 21点游戏的FPGA实现,使用VHDL语言,已经测试成功。-21-point game of the FPGA implementation
Platform: | Size: 325632 | Author: heiscsy | Hits:

[VHDL-FPGA-Verilogpingpangqiu

Description:
Platform: | Size: 552960 | Author: 张继 | Hits:

[VHDL-FPGA-Veriloglanqiujishiqi

Description: 这是篮球计时器,vhdl源代码,包括12min倒计时,24sec倒计时-basketball game time paly.including 12min,24sec……
Platform: | Size: 1818624 | Author: zhuming | Hits:

[VHDL-FPGA-Verilogtanchishe

Description: 用VHDL语言编写的贪吃蛇小游戏,在开发板上验证过,可以很好运行-Snake with VHDL language game, the development board verified, well run
Platform: | Size: 1486848 | Author: dingdanfei | Hits:

[VHDL-FPGA-VerilogEDApingpongqiu

Description: 基于FPGA芯片,vhdl编写的乒乓球游戏,具有失球计数,指示乒乓球的方向,失球发声提示功能。-FPGA-based chip, vhdl writing table tennis game, with a clean sheet count, indicating the direction of table tennis, conceded voice prompts.
Platform: | Size: 318464 | Author: | Hits:

[VHDL-FPGA-Verilogpngpang(2)

Description: 用vhdl语言使用ise开发工具模拟两人乒乓球游戏,实现状态转换。-Ise vhdl language with development tools using two table tennis simulation game, to achieve the state transition.
Platform: | Size: 1024 | Author: 李小小 | Hits:

[VHDL-FPGA-Verilogtanchishe

Description: 数字电路与逻辑设计综合实验,贪吃蛇游戏机的实验报告。本实验是用通过VHDL的代码编写,然后下载到EPM7128数字逻辑实验开发板上,用点阵显示老鼠,蛇,以及墙,用数码管显示倒计时以及得分的情况。最终实现老鼠的随机出现,蛇的移动以及吃老鼠得分,撞墙或触边即死。-Digital circuit and logic design experiment, experimental report of the Snake game consoles
Platform: | Size: 262144 | Author: 张三 | Hits:
« 1 2 3 4 5 6 78 9 10 »

CodeBus www.codebus.net